Subversion Repositories svnkaklik

Compare Revisions

Ignore whitespace Rev 5 → Rev 6

/schemata/Motory/zaloha/ULN2003.EDN
0,0 → 1,520
(edif SCHEMATIC1
(edifVersion 2 0 0)
(edifLevel 0)
(keywordMap (keywordLevel 0))
(status
(written
(timeStamp 2003 03 27 12 18 04)
(program "CAPTURE.EXE" (Version "9.2.448 CIS - D"))
(comment "Original data from OrCAD/CAPTURE schematic"))
(comment "")
(comment "Saturday, March 22, 2003")
(comment "D:\@KAKLIK\SCHEMATA\MOTOR\ULN2003.DSN")
(comment "")
(comment "")
(comment "")
(comment "")
(comment "")
(comment ""))
(external OrCAD_LIB
(edifLevel 0)
(technology
(numberDefinition
(scale 1 1 (unit distance))))
(cell DB9F
(cellType generic)
(comment "From OrCAD library GEN_CON.OLB")
(view NetlistView
(viewType netlist)
(interface
(port &1 (direction INOUT))
(port &2 (direction INOUT))
(port &3 (direction INOUT))
(port &4 (direction INOUT))
(port &5 (direction INOUT))
(port &6 (direction INOUT))
(port &7 (direction INOUT))
(port &8 (direction INOUT))
(port &9 (direction INOUT)))))
(cell DB25M
(cellType generic)
(comment "From OrCAD library GEN_CON.OLB")
(view NetlistView
(viewType netlist)
(interface
(port &1 (direction INOUT))
(port &2 (direction INOUT))
(port &3 (direction INOUT))
(port &4 (direction INOUT))
(port &5 (direction INOUT))
(port &6 (direction INOUT))
(port &7 (direction INOUT))
(port &8 (direction INOUT))
(port &9 (direction INOUT))
(port &10 (direction INOUT))
(port &11 (direction INOUT))
(port &12 (direction INOUT))
(port &13 (direction INOUT))
(port &14 (direction INOUT))
(port &15 (direction INOUT))
(port &16 (direction INOUT))
(port &17 (direction INOUT))
(port &18 (direction INOUT))
(port &19 (direction INOUT))
(port &20 (direction INOUT))
(port &21 (direction INOUT))
(port &22 (direction INOUT))
(port &23 (direction INOUT))
(port &24 (direction INOUT))
(port &25 (direction INOUT)))))
(cell DB25F
(cellType generic)
(comment "From OrCAD library GEN_CON.OLB")
(view NetlistView
(viewType netlist)
(interface
(port &1 (direction INOUT))
(port &2 (direction INOUT))
(port &3 (direction INOUT))
(port &4 (direction INOUT))
(port &5 (direction INOUT))
(port &6 (direction INOUT))
(port &7 (direction INOUT))
(port &8 (direction INOUT))
(port &9 (direction INOUT))
(port &10 (direction INOUT))
(port &11 (direction INOUT))
(port &12 (direction INOUT))
(port &13 (direction INOUT))
(port &14 (direction INOUT))
(port &15 (direction INOUT))
(port &16 (direction INOUT))
(port &17 (direction INOUT))
(port &18 (direction INOUT))
(port &19 (direction INOUT))
(port &20 (direction INOUT))
(port &21 (direction INOUT))
(port &22 (direction INOUT))
(port &23 (direction INOUT))
(port &24 (direction INOUT))
(port &25 (direction INOUT)))))
(cell DIP16_300
(cellType generic)
(comment "From OrCAD library DECALES.OLB")
(view NetlistView
(viewType netlist)
(interface
(port &1 (direction INOUT))
(port &2 (direction INOUT))
(port &3 (direction INOUT))
(port &4 (direction INOUT))
(port &5 (direction INOUT))
(port &6 (direction INOUT))
(port &7 (direction INOUT))
(port &8 (direction INOUT))
(port &9 (direction INOUT))
(port &10 (direction INOUT))
(port &11 (direction INOUT))
(port &12 (direction INOUT))
(port &13 (direction INOUT))
(port &14 (direction INOUT))
(port &15 (direction INOUT))
(port &16 (direction INOUT)))))
(cell ULN2003
(cellType generic)
(comment "From OrCAD library RUZNE.OLB")
(view NetlistView
(viewType netlist)
(interface
(port &1 (direction INOUT))
(port &2 (direction INOUT))
(port &3 (direction INOUT))
(port &4 (direction INOUT))
(port &5 (direction INOUT))
(port &6 (direction INOUT))
(port &7 (direction INOUT))
(port &8 (direction INOUT))
(port &9 (direction INOUT))
(port &10 (direction INOUT))
(port &11 (direction INOUT))
(port &12 (direction INOUT))
(port &13 (direction INOUT))
(port &14 (direction INOUT))
(port &15 (direction INOUT))
(port &16 (direction INOUT)))))
(cell JUMP3
(cellType generic)
(comment "From OrCAD library JUMP.OLB")
(view NetlistView
(viewType netlist)
(interface
(port &1 (direction INOUT))
(port &2 (direction INOUT))
(port &3 (direction INOUT)))))
(cell JUMP5
(cellType generic)
(comment "From OrCAD library JUMP.OLB")
(view NetlistView
(viewType netlist)
(interface
(port &1 (direction INOUT))
(port &2 (direction INOUT))
(port &3 (direction INOUT))
(port &4 (direction INOUT))
(port &5 (direction INOUT)))))
(cell JUMP4
(cellType generic)
(comment "From OrCAD library JUMP.OLB")
(view NetlistView
(viewType netlist)
(interface
(port &1 (direction INOUT))
(port &2 (direction INOUT))
(port &3 (direction INOUT))
(port &4 (direction INOUT)))))
(cell JUMP8
(cellType generic)
(comment "From OrCAD library JUMP.OLB")
(view NetlistView
(viewType netlist)
(interface
(port &1 (direction INOUT))
(port &2 (direction INOUT))
(port &3 (direction INOUT))
(port &4 (direction INOUT))
(port &5 (direction INOUT))
(port &6 (direction INOUT))
(port &7 (direction INOUT))
(port &8 (direction INOUT)))))
(cell PHONEJACKSTEREO
(cellType generic)
(comment "From OrCAD library _SENO_.OLB")
(view NetlistView
(viewType netlist)
(interface
(port &3 (direction INOUT))
(port &2 (direction INOUT))
(port &1 (direction INOUT))))))
(library MAIN_LIB
(edifLevel 0)
(technology
(numberDefinition
(scale 1 1 (unit distance))))
(cell SCHEMATIC1
(cellType generic)
(view NetlistView
(viewType netlist)
(interface)
(contents
(instance CON2
(viewRef NetlistView
(cellRef DB9F
(libraryRef OrCAD_LIB))))
(instance CON4
(viewRef NetlistView
(cellRef DB25M
(libraryRef OrCAD_LIB))))
(instance CON5
(viewRef NetlistView
(cellRef DB25F
(libraryRef OrCAD_LIB))))
(instance U1
(viewRef NetlistView
(cellRef DIP16_300
(libraryRef OrCAD_LIB))))
(instance U2
(viewRef NetlistView
(cellRef ULN2003
(libraryRef OrCAD_LIB))))
(instance J11
(viewRef NetlistView
(cellRef JUMP3
(libraryRef OrCAD_LIB))))
(instance J12
(viewRef NetlistView
(cellRef JUMP3
(libraryRef OrCAD_LIB))))
(instance J13
(viewRef NetlistView
(cellRef JUMP3
(libraryRef OrCAD_LIB))))
(instance J14
(viewRef NetlistView
(cellRef JUMP3
(libraryRef OrCAD_LIB))))
(instance J1
(viewRef NetlistView
(cellRef JUMP5
(libraryRef OrCAD_LIB))))
(instance J2
(viewRef NetlistView
(cellRef JUMP4
(libraryRef OrCAD_LIB))))
(instance J3
(viewRef NetlistView
(cellRef JUMP8
(libraryRef OrCAD_LIB))))
(instance J4
(viewRef NetlistView
(cellRef PHONEJACKSTEREO
(libraryRef OrCAD_LIB))))
(instance J5
(viewRef NetlistView
(cellRef JUMP5
(libraryRef OrCAD_LIB))))
(instance J6
(viewRef NetlistView
(cellRef JUMP3
(libraryRef OrCAD_LIB))))
(instance J7
(viewRef NetlistView
(cellRef JUMP3
(libraryRef OrCAD_LIB))))
(instance J8
(viewRef NetlistView
(cellRef JUMP3
(libraryRef OrCAD_LIB))))
(net N19443
(joined
(portRef &4 (instanceRef CON2))
(portRef &6 (instanceRef U1))
(portRef &2 (instanceRef J6))))
(net N13996
(joined
(portRef &2 (instanceRef J2))
(portRef &14 (instanceRef CON4))
(portRef &3 (instanceRef U1))))
(net N19393
(joined
(portRef &3 (instanceRef CON2))
(portRef &5 (instanceRef U1))
(portRef &1 (instanceRef J6))))
(net N19493
(joined
(portRef &7 (instanceRef CON2))
(portRef &7 (instanceRef U1))
(portRef &3 (instanceRef J6))))
(net N25451
(joined
(portRef &4 (instanceRef U1))
(portRef &3 (instanceRef J2))
(portRef &16 (instanceRef CON4))))
(net N25409
(joined
(portRef &1 (instanceRef J2))
(portRef &1 (instanceRef CON4))
(portRef &2 (instanceRef U1))))
(net N15322
(joined
(portRef &2 (instanceRef CON4))
(portRef &8 (instanceRef J3))
(portRef &1 (instanceRef U1))))
(net N13359
(joined
(portRef &10 (instanceRef CON4))
(portRef &5 (instanceRef J1))
(portRef &10 (instanceRef CON5))))
(net N13445
(joined
(portRef &11 (instanceRef CON4))
(portRef &4 (instanceRef J1))
(portRef &11 (instanceRef CON5))))
(net N13531
(joined
(portRef &12 (instanceRef CON4))
(portRef &3 (instanceRef J1))
(portRef &12 (instanceRef CON5))))
(net N13617
(joined
(portRef &13 (instanceRef CON4))
(portRef &2 (instanceRef J1))
(portRef &13 (instanceRef CON5))))
(net N36937
(joined
(portRef &20 (instanceRef CON4))
(portRef &1 (instanceRef J13))))
(net N37144
(joined
(portRef &21 (instanceRef CON5))
(portRef &2 (instanceRef J12))))
(net N37348
(joined
(portRef &23 (instanceRef CON4))
(portRef &1 (instanceRef J8))))
(net N37007
(joined
(portRef &20 (instanceRef CON5))
(portRef &2 (instanceRef J13))))
(net N37211
(joined
(portRef &22 (instanceRef CON4))
(portRef &1 (instanceRef J11))))
(net N36870
(joined
(portRef &19 (instanceRef CON5))
(portRef &2 (instanceRef J14))))
(net N37074
(joined
(portRef &21 (instanceRef CON4))
(portRef &1 (instanceRef J12))))
(net N37418
(joined
(portRef &23 (instanceRef CON5))
(portRef &2 (instanceRef J8))))
(net N37281
(joined
(portRef &22 (instanceRef CON5))
(portRef &2 (instanceRef J11))))
(net N36800
(joined
(portRef &19 (instanceRef CON4))
(portRef &1 (instanceRef J14))))
(net N28601
(joined
(portRef &24 (instanceRef CON4))
(portRef &2 (instanceRef J7))))
(net N13703
(joined
(portRef &15 (instanceRef CON4))
(portRef &1 (instanceRef J1))
(portRef &15 (instanceRef CON5))))
(net N25474
(joined
(portRef &17 (instanceRef CON4))
(portRef &4 (instanceRef J2))
(portRef &17 (instanceRef CON5))))
(net N40223
(joined
(portRef &10 (instanceRef U1))
(portRef &3 (instanceRef J14))))
(net N40337
(joined
(portRef &11 (instanceRef U1))
(portRef &3 (instanceRef J13))))
(net N40406
(joined
(portRef &12 (instanceRef U1))
(portRef &3 (instanceRef J12))))
(net N19163
(joined
(portRef &6 (instanceRef CON2))
(portRef &3 (instanceRef J5))))
(net N19217
(joined
(portRef &4 (instanceRef J5))
(portRef &8 (instanceRef CON2))))
(net N19271
(joined
(portRef &9 (instanceRef CON2))
(portRef &5 (instanceRef J5))))
(net N19048
(joined
(portRef &2 (instanceRef CON2))
(portRef &3 (instanceRef J11))
(portRef &2 (instanceRef J5))))
(net N18998
(joined
(portRef &1 (instanceRef J5))
(portRef &1 (instanceRef CON2))
(portRef &3 (instanceRef J8))))
(net N14796
(joined
(portRef &4 (instanceRef J3))
(portRef &4 (instanceRef U2))
(portRef &6 (instanceRef CON4))))
(net N15006
(joined
(portRef &4 (instanceRef CON4))
(portRef &6 (instanceRef U2))
(portRef &6 (instanceRef J3))))
(net N14903
(joined
(portRef &5 (instanceRef CON4))
(portRef &5 (instanceRef U2))
(portRef &5 (instanceRef J3))))
(net N14585
(joined
(portRef &8 (instanceRef CON4))
(portRef &2 (instanceRef U2))
(portRef &2 (instanceRef J3))))
(net N14688
(joined
(portRef &7 (instanceRef CON4))
(portRef &3 (instanceRef J3))
(portRef &3 (instanceRef U2))))
(net N14493
(joined
(portRef &1 (instanceRef J3))
(portRef &9 (instanceRef CON4))
(portRef &1 (instanceRef U2))))
(net N15219
(joined
(portRef &3 (instanceRef CON4))
(portRef &7 (instanceRef U2))
(portRef &7 (instanceRef J3))))
(net N42209
(joined
(portRef &15 (instanceRef U2))
(portRef &8 (instanceRef CON5))))
(net (rename PLUSV "+V")
(joined
(portRef &9 (instanceRef U1))
(portRef &3 (instanceRef J4))
(portRef &2 (instanceRef J4))
(portRef &1 (instanceRef J7))
(portRef &24 (instanceRef CON5))
(portRef &9 (instanceRef U2))))
(net N42326
(joined
(portRef &14 (instanceRef U2))
(portRef &7 (instanceRef CON5))))
(net N41046
(joined
(portRef &16 (instanceRef U2))
(portRef &9 (instanceRef CON5))))
(net N42437
(joined
(portRef &13 (instanceRef U2))
(portRef &6 (instanceRef CON5))))
(net N42556
(joined
(portRef &12 (instanceRef U2))
(portRef &5 (instanceRef CON5))))
(net N42675
(joined
(portRef &11 (instanceRef U2))
(portRef &4 (instanceRef CON5))))
(net N42794
(joined
(portRef &10 (instanceRef U2))
(portRef &3 (instanceRef CON5))))
(net GND
(joined
(portRef &5 (instanceRef CON2))
(portRef &25 (instanceRef CON4))
(portRef &8 (instanceRef U2))
(portRef &8 (instanceRef U1))
(portRef &18 (instanceRef CON4))
(portRef &1 (instanceRef J4))
(portRef &3 (instanceRef J7))
(portRef &25 (instanceRef CON5))
(portRef &18 (instanceRef CON5))))
(net N43077
(joined
(portRef &16 (instanceRef U1))
(portRef &2 (instanceRef CON5))))
(net N43185
(joined
(portRef &15 (instanceRef U1))
(portRef &1 (instanceRef CON5))))
(net N43293
(joined
(portRef &14 (instanceRef U1))
(portRef &14 (instanceRef CON5))))
(net N43401
(joined
(portRef &13 (instanceRef U1))
(portRef &16 (instanceRef CON5))))))))
(design SCHEMATIC1
(cellRef SCHEMATIC1
(libraryRef MAIN_LIB))))