Subversion Repositories svnkaklik

Rev

Go to most recent revision | Details | Last modification | View Log

Rev Author Line No. Line
470 kaklik 1
#include "main.h"
2
 
3
 
4
#define LED_K1       PIN_A2      // anody segmentovek
5
#define LED_K2       PIN_A1
6
//#define LED_D1       PIN_A1      // katody segmentovek ///ted uz nepotreba
7
//#define LED_D2       PIN_A2
8
//#define LED_D3       PIN_A3
9
//#define LED_D4       PIN_A4
10
//#define LED_D5       PIN_A5
11
//#define LED_D6       PIN_A6
12
//#define LED_D7       PIN_A7
13
 
14
#include "1wire.c"
15
#include "ds1820.c"
16
 
17
void main()
18
{
19
long duty;           // strida PWM
20
int temp;            // zaokrouhlena teplota
21
int znak1;           // hodnota jednotek
22
int znak2;           // hodnota desitek
23
int znak;            // zobrazovany znak
24
int i, n;               // i pro for
25
 
26
 
27
   setup_timer_0(RTCC_INTERNAL|RTCC_DIV_1);
28
 
29
   while(TRUE)
30
   {
31
     temp = ds1820_read();
32
 
33
 
34
     if (temp<10)
35
     {         //pro teploty 0-10°C
36
       znak1=temp;
37
       znak2=0;
38
     }
39
     else
40
     {
41
       znak2=(int) (temp/10);               //vydelit deseti a zaokrouhlit dolu = desitky
42
       znak1=temp-znak2*10;     //jednotky rozdil teploty a desitek
43
     }
44
 
45
 
46
    for (i=0;i<2;i++)
47
    {	          //cyklus zobrazeni udaje
48
         if (i==0) {
49
         output_low(LED_K2);		  //dva bloky pro sepnuti aktivniho segmentu na 1
50
         output_high(LED_K1);
51
         znak=znak1;
52
         }
53
         if (i==1) {
54
         output_low(LED_K1);
55
         output_high(LED_K2);
56
         znak=znak2;
57
         }
58
         if (znak==1) output_b(0b11110011);	  //blok if pro rozeznani zobrazeneho znaku
59
         if (znak==2) output_b(0b01001001);
60
         if (znak==3) output_b(0b01100001);
61
         if (znak==4) output_b(0b00110011);
62
         if (znak==5) output_b(0b00100101);
63
         if (znak==6) output_b(0b00000101);
64
         if (znak==7) output_b(0b11110001);
65
         if (znak==8) output_b(0b00000001);
66
         if (znak==9) output_b(0b00100001);
67
         if (znak==0) output_b(0b10000001);
68
 
69
         Delay_ms(10);
70
     }
71
 
72
 
73
//     duty=104+(2,31*temperature); // .000416/(16*(1/4000000)) ....PWM presne podle helpu
74
//     set_pwm1_duty(duty);
75
   }
76
 
77
 
78
 
79
 
80
}